Normal view MARC view ISBD view

Design Automation for Differential MOS Current-Mode Logic Circuits [electronic resource] / by Stéphane Badel, Can Baltaci, Alessandro Cevrero, Yusuf Leblebici.

By: Badel, Stéphane [author.].
Contributor(s): Baltaci, Can [author.] | Cevrero, Alessandro [author.] | Leblebici, Yusuf [author.] | SpringerLink (Online service).
Material type: materialTypeLabelBookPublisher: Cham : Springer International Publishing : Imprint: Springer, 2019Edition: 1st ed. 2019.Description: X, 233 p. 149 illus., 73 illus. in color. online resource.Content type: text Media type: computer Carrier type: online resourceISBN: 9783319913070.Subject(s): Electronic circuits | Electronics | Microprocessors | Computer architecture | Electronic Circuits and Systems | Electronics and Microelectronics, Instrumentation | Processor ArchitecturesAdditional physical formats: Printed edition:: No title; Printed edition:: No title; Printed edition:: No titleDDC classification: 621.3815 Online resources: Click here to access online
Contents:
Introduction -- Analysis of MOS Current-Mode Logic Circuits -- Design of MOS Current-Mode Logic Cells -- Design Methodology for MCML Standard Cells -- Design Automation for Differential Circuits -- Design Example I : Low-Noise Encoder Circuit for A/D Converter -- Design Example II : High-Speed Multiplexer -- Design Example III : Grain-128a Stream Cipher -- Design Example IV: DPA Resistant Processor.
In: Springer Nature eBookSummary: This book discusses the implementation of digital circuits by using MCML gates. Although digital circuit implementation is possible with other elements, such as CMOS gates, MCML implementations can provide superior performance in certain applications. This book provides a complete automation methodology for the implementation of digital circuits in MCML and provides an extensive explanation on the technical details of design of MCML. A systematic methodology is presented to build efficient MCML standard-cell libraries, and a complete top-down design flow is shown to implement complex systems using such building blocks.
    average rating: 0.0 (0 votes)
No physical items for this record

Introduction -- Analysis of MOS Current-Mode Logic Circuits -- Design of MOS Current-Mode Logic Cells -- Design Methodology for MCML Standard Cells -- Design Automation for Differential Circuits -- Design Example I : Low-Noise Encoder Circuit for A/D Converter -- Design Example II : High-Speed Multiplexer -- Design Example III : Grain-128a Stream Cipher -- Design Example IV: DPA Resistant Processor.

This book discusses the implementation of digital circuits by using MCML gates. Although digital circuit implementation is possible with other elements, such as CMOS gates, MCML implementations can provide superior performance in certain applications. This book provides a complete automation methodology for the implementation of digital circuits in MCML and provides an extensive explanation on the technical details of design of MCML. A systematic methodology is presented to build efficient MCML standard-cell libraries, and a complete top-down design flow is shown to implement complex systems using such building blocks.

There are no comments for this item.

Log in to your account to post a comment.